光刻机的故事(上):如何用极紫外光制造电路板?

芝能汽车
08-12

芝能智芯出品

光刻是半导体制造中的最为关键技术,用于在硅片上精确地转移电路设计。

随着摩尔定律的推进,芯片上的晶体管数量持续增加,对光刻技术的要求也越来越高。从最初的紫外线到深紫外线,再到极紫外光刻技术,每一次进步都伴随着巨大的技术和工程挑战。

光刻机是如何发展起来的?本文分为上下两篇来介绍这个故事:

● 第一:光刻技术的基础和早期发展,包括极紫外光刻技术(EUV)的起源和初步挑战。

 第二:EUV技术如何克服这些挑战,并最终成为半导体制造中的关键工具。



Part 1

光刻技术的发展

在半导体 fabrication 中,光刻是使用光敏感化学物质在硅晶圆上刻印电路的过程。随着电路缩小到纳米级,半导体行业不得不采用独特的光刻特定光源和相关技术,因为可见光谱的光超过了所需电路图案的宽度。

于是,深紫外线(DUV)光刻技术被引入,但 DUV 技术的局限性在 20 世纪 80 年代就已被充分认识到。因此,行业开始探索下一代光刻(NGL)技术,以制造先进的微电子器件。

EUV 光刻技术在几个重要方面与半导体行业早期使用的光刻技术有所不同,尤其是光源。EUV 光刻使用高功率激光每秒焚烧 50,000 滴锡(每滴测量 30 微米,即 3000 万分之一米)来产生极端紫外线光。

由于 EUV 光被空气和玻璃吸收,因此需要特殊的镜子(是有史以来生产的最完美的材料之一)来引导光通过掩模并在真空室中照射到晶圆上。最终,这个过程赋予了当今最先进半导体所需的超细电路。

EUV 研究的第一个时期专注于展示使用软 X 射线投影光刻(SXPL)在半导体制造中的技术前景和可行性。随后,研究重点转向开发成像系统、系统集成,以及识别技术障碍和潜在的“瓶颈”。

从 20 世纪 90 年代末到 2010 年,行业介入并将 EUV 研究议程集中在为大批量制造做系统开发准备上。2010 年至今,ASML 已成为 EUV 系统的唯一供应商,并于 2013 年成功出货了第一批据称“可生产就绪”的 EUV 光刻工具。然而,直到 2019 年,第一批包含 EUV 半导体的电子设备才面世。

1981 年,美国研究人员首次开始研究软 X 射线是否可用于成像,最初的一些测试是在阿拉巴马州亨茨维尔的马歇尔太空飞行中心进行的。当时,领先的 NGL 技术是 X 射线接近光刻(XPL),IBM 和 NTT 都在积极评估 XPL 用于半导体器件生产,但后来都因制造问题放弃了该技术。

1984 年,NTT 的 Hiroo Kinoshita 开始考虑将这项开创性研究应用于半导体器件制造,并于 1986 年在日本应用物理学会上展示了初步成果,但反响不佳。与此同时,美国 AT&T 贝尔实验室的员工向美国政府提出使用软 X 射线激光与多层反射器作为实用 NGL 工具的建议,也遭到了负面评价。

到 1988 年,美国能源部下属的劳伦斯利弗莫尔国家实验室(LLNL)的研究人员跟进了 Kinoshita 的研究,并提出了第一个 SXPL 系统。1989 年,Kinoshita 发表了一篇论文,提出了最佳的 SXPL 曝光波长、光掩模和光刻胶。20 世纪 90 年代初,桑迪亚国家实验室(SNL)与 AT&T 贝尔实验室合作,展示了第一个使用激光等离子体源的 SXPL 系统。

1991 年,美国国防高级研究计划局(DARPA)启动了先进光刻计划,推动了美国的研究势头1992 年,英特尔 CEO Andy Grove 批准了公司内部对 EUV 光刻的 2 亿美元研发投资。

Part 2

EUV如何走向商业化

软 X 射线还原成像技术(后来发展为 EUV)在当时是一个超前的想法。1981 年,美国研究人员开始探索软 X 射线在成像方面的应用,主要与 X 射线显微镜和 X 射线望远镜相关。

当时,XPL 是主要的 NGL 技术,IBM 和日本 NTT 积极评估其用于半导体生产,但因 mask 制造问题最终放弃。

1984 年,NTT 的 Hiroo Kinoshita 开始考虑将该技术用于半导体制造,1986 年他提出的 X 射线还原光刻方法未得到认可,美国 AT&T 贝尔实验室向政府提出的软 X 射线激光与多层反射器的方案也遭到负面评价。

1988 年,LLNL 提出第一个 SXPL 系统,研究人员进一步制造组件和开发技术进行诊断验证。1989 年,Kinoshita 发表论文提出最佳 SXPL 曝光参数。

1991 年 DARPA 启动先进光刻计划后,1992 年英特尔批准 2 亿美元用于 EUV 光刻研发。

1993 年,SXPL 研究社区决定统一使用“极端紫外线光刻”这一术语,原因包括与当时仍在探索的 XPL 区分开来、听起来像是 DUV 的延伸以及避免与不成功的 X 射线光刻相关联。

1994 年,美国国家 EUV 光刻计划出现,由 LLNL、SNL、劳伦斯伯克利国家实验室(LBNL)和 AT&T 贝尔实验室的研究人员组成,由 DOE 资助并由 DARPA、DOE 和行业代表的技术咨询小组指导。在此期间,美国的研究团队开始开发成像系统和第一款利用 EUV 技术的精确叠加工具,欧洲和日本的相关研究也在积极进行。

欧洲的 EUV 研究项目 EXULT 于此时开始,专注于高功率无碎片光源、精密光学制造和计量以及无缺陷 mask 等方面的研究。1995 年,蔡司在欧洲组织并主办了第一次 EUV 研讨会。荷兰因其被美国和日本视为中立之地,成为了 EUV 研究的重要地点,这为后来的 ASM Lithography(后更名为 ASML)的发展提供了助力。

到 20 世纪 90 年代中期,国际半导体技术路线图预测,如果行业要跟上摩尔定律的步伐,到 2005 年,一种新的光刻技术将需要为大批量制造做好准备。

然而,尽管多年来对 XPL(由美国 IBM 领导)、投影电子和离子束(由美国 AT&T 贝尔实验室领导)以及更短的 DUV 波长进行了探索性工作,但没有出现有前途的候选技术。

此时,DOE 对国家 EUV 光刻计划的资助结束。这一决定促使英特尔介入并提供“桥梁资金”,以保持美国 EUV 研发社区的团结,直到能够制定出更广泛的计划。

1997 年,由英特尔领导的 EUV LLC 成立,通过与 DOE 的新型国家虚拟实验室(NVL)结构签订合同,确保与 LLNL、LBNL 和 SNL 等已参与 EUV 研究的团队紧密合作。

在美国创建 EUV LLC 之后,日本和欧洲的相关联盟也很快出现。1998 年,日本的 ASET 建立了 EUV 研究议程,欧洲的 EUCLIDES 也成立。

与美国政府不同,日本政府和欧盟委员会为这些 EUV 研究议程提供了直接的财政支持。日本的 EUV 研究计划由 ASET 领导,计划在 2000 年代中期准备好商业工具,专注于曝光系统、多层 mask 和光刻胶开发等方面。

日本的佳能和尼康曾在 20 世纪 90 年代中期引领全球光刻销售,但在 NGL 研究方面进展不一。到 2000 年代初,日本在 EUV 和其他 NGL 技术方面的努力遇到了障碍,促使 ASET 与 EUV LLC 加强合作。

美国的 EUV LLC 研究议程资金充足且雄心勃勃,确定了 EUV 光学、多层涂层、计量、mask、光源、光刻胶和工程测试台等主要任务。英特尔是在此期间对 EUV 研究承诺最多的公司,与其他公司一起在 1997 年向 DOE 实验室承诺投入 2.5 亿美元。

欧洲的 EUCLIDES 计划由 ASML 与卡尔蔡司等合作领导,专注于镜子基板、高反射多层涂层和真空阶段等研究,并取得了一些早期进展。基于此,ASML 在 2001 年“分配了一小群人和适度的资源来构建 EUV 系统原型”。

● 在国家竞争方面,1997 年美国能源部宣布成立 EUV LLC 后,面临的第一个问题是如何确保美国设备制造商受益,因为当时日本半导体行业崛起,美国公司希望保持或重新获得领先地位。

美国政府通过协议设立了“净美国利益”条款,但行业认识到国际协调的必要性,因此 EUV LLC 与 ASML 达成协议,使其参与联盟,以加速研究进展。

尽管美国政府内部有人反对包括非美国公司,但 ASML 承诺在美国建厂、保证组件来源和分享技术,最终加入了 EUV LLC。随后,德国的 Infineon Technologies AG 也谈判加入,但遭到美国商务部反对。

● 在技术竞争方面,在 EUV 出现之前,至少有四种 NGL 技术被半导体行业认真考虑,每种技术都面临技术和经济障碍。

完整的光刻系统包括曝光工具、mask 制作、光刻胶和计量设备等,需要在各个子组件和功能上进行重大技术创新。经济因素在不同 NGL 技术的兴衰中起了关键作用,最终的工具需要能够长时间高吞吐量地进行晶圆曝光。

1997 年,SEMTEC 确定 XPL、EPL 和离子投影光刻为最有可能的候选技术,而 EUV 排名第四。

然而,ASML 参与 EUV LLC 后,加速了对 EUV 光刻的热情,导致其放弃了与美国应用材料公司的合资企业 eLith LLC 及其开发的下一代 EPL 工具。

● 在公司竞争方面,2000 年 ASML 收购 SVG Lithography 后成为世界上最大的光刻供应商,而美国最后一家光刻工具供应商消失。随后,Northrop Grumman 收购支持 EUV LLC 光源工作的 TRW,并最终将其 EUV 相关 IP 捐赠给中佛罗里达大学。

2001 年,尼康起诉 ASML 专利侵权并在三年后达成和解,ASML 和蔡司分别向尼康支付了 8700 万美元和 5800 万美元。2000 年代中期,ASML 和尼康在光刻市场份额上展开竞争,同时追求不同的 NGL 技术。尼康在 EPL 上的投入未能达到与 EUV 竞争所需的吞吐量,于 2005 年停止了 EPL 的商业化努力。

到 2000 年代中期,ASML、尼康和佳能都向客户保证提供 EUV alpha 工具,并计划在 2009 年提供生产就绪工具。2006 年,ASML 向比利时的 IMEC 和纽约州的 CNSE 运送了第一批 EUV 原型工具。2007 年,尼康报告将向英特尔和 Selete 运送其前两台 EUV 工具。2008 年,CNSE 成功使用 ASML 的演示工具生产了世界上第一批 EUV 测试芯片。2009 年,佳能决定退出竞争,只剩下 ASML 和尼康作为行业的可行供应商,同年有传言称尼康的 EUV 计划进一步延迟。

小结

从 EUV 的起源到其在这一时期的发展,经历了基础研究、技术演示、应用研究、联盟合作以及激烈的竞争,为其后续的商业化奠定了基础。

本文来源:《Tracing the Emergence of Extreme Ultraviolet Lithography》

免责声明:上述内容仅代表发帖人个人观点,不构成本平台的任何投资建议。

精彩评论

我们需要你的真知灼见来填补这片空白
发表看法